CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - serial communication with FPGA

搜索资源列表

  1. altclklock0

    0下载:
  2. 用fpga进行串行通信,内部附用锁相环进行控制传送和接受-Fpga using serial communication with the internal phase-locked loop with send and receiving control
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-06
    • 文件大小:2826
    • 提供者:王光兴
  1. UART

    0下载:
  2. 利用FPGA接受232芯片的串口数据,可以与PC进行串口通信-FPGA chip using the serial data received 232, serial communication with PC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1189778
    • 提供者:杨然
  1. uart

    0下载:
  2. FPGA的串口模块,实现FPGA与PC机的串口通讯。-FPGA serial modules, FPGA implementation with the PC-Serial communication.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:471579
    • 提供者:王小
  1. 3

    0下载:
  2. 串口通信的事例FPGA上面可以实现非常实用-FPGA serial communication above examples can be very useful for implementation
  3. 所属分类:Com Port

    • 发布日期:2017-04-29
    • 文件大小:491406
    • 提供者:xixi
  1. dianziqin

    0下载:
  2. vb编写的电子琴,仿真实电子琴操作界面,包含与FPGA串口通信的功能。-vb prepared organ, electric piano emulation interface is included with the FPGA serial communication functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:4633
    • 提供者:tianyongfan
  1. FLASHROM

    0下载:
  2. 基于FPGA 内部的1kflash编程实现存储及FPGA通过串口与PC的通信!-1kflash-based FPGA' s internal memory and FPGA programming through the serial communication with PC!
  3. 所属分类:FlashMX/Flex

    • 发布日期:2017-03-29
    • 文件大小:102180
    • 提供者:李兆涛
  1. ActelFPGA_MSP_ApplicationNote

    1下载:
  2. 多串口扩展芯片广泛应用于各种多路通信、数据采集场合,它弥补了一般 MCU串口数 量少的不足,扩展了处理器的串口通道,使得处理器能与更多的串口设备进行通信。本方案 采用 Actel Flash 架构的 FPGA 实现扩展多路串口功能,并通过 FPGA 灵活的结构来为用户 定制不同的功能,可以广泛应用于工业控制、智能家居以及其他需要多路串口的场合,该方 案已经被多家公司采纳。 -Multi-serial port expansion chip is widely applied in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:268385
    • 提供者:zxx359654879
  1. UART

    0下载:
  2. 用FPGA开发的串口通信的程序,代码是用verilog编写的,希望对大家有用!-Serial communication with the FPGA development process, the code is written in verilog and hope for all of us!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:267617
    • 提供者:郭富民
  1. eda

    1下载:
  2. 利用FPGA可编程芯片及Verilog HDL语言实现了对直流电机PwM控制器的设计,对直流电机速度进行控制。介绍了用Verilog HDL语言编程实现直流电机PwM控制器的PwM产生模块、串口通信模块、转向调节模块等功能,该系统无须外接D/A转换器及模拟比较器,结构简单,控制精度高,有广泛的应用前景。同时,控制系统中引入上位机控制功能,可方便对电机进行远程控制。-Using FPGA programmable chip and Verilog HDL language for the desi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4268220
    • 提供者:杨汉轩
  1. rs232

    0下载:
  2. 用vhdl实现fpga串口通信 包含 波特率生成 发送模块 接收模块 过采样 signaltap使用-Vhdl fpga serial communication with the realization of sending module contains the baud rate generation receiver module using oversampling signaltap
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2274959
    • 提供者:adam
  1. PIN

    0下载:
  2. 用VERILIG编写的FPGA串口与电脑通信-Written by VERILIG FPGA serial communication with the computer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:743359
    • 提供者:island
  1. UART

    0下载:
  2. VHDL编写的FPGA串口与电脑通信-Written in VHDL FPGA serial communication with the computer ..
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:607457
    • 提供者:island
  1. Serial-communication-with-PC

    0下载:
  2. 基于FPGA的用VHDL语言编写的串口与电脑通信程序-FPGA-based serial port using VHDL language and computer communication program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:494718
    • 提供者:飞虎队
  1. serial

    0下载:
  2. 基于FPGA 串口与电脑通信,实现FPGA与PC机的通信-Serial communication with the computer based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2934
    • 提供者:
  1. I2C_i2c

    0下载:
  2. fpga例程:用fpga实现i2c串口通讯的vhdl详细代码,完整的quartus工程,可直接用-fpga routines: i2c serial communication with fpga implementation details of vhdl code, complete quartus project, can be directly used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:860830
    • 提供者:刘畅
  1. UART-Altera

    0下载:
  2. 使用Atera FPGA CycloneII 实现串口通信,遵循RS232协议。FPGA上的模块实现了数据的接收,取补码和发送。(Achieve serial communication with FPGA, following the protocol of RS232.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:1809408
    • 提供者:swy0721
  1. 用FPGA实现UART

    0下载:
  2. 用fpga实现异步串行通信。通过串口助手接收与发送(Implementation of serial communication with FPGA)
  3. 所属分类:串口编程

    • 发布日期:2017-12-21
    • 文件大小:664576
    • 提供者:大武
  1. 8_uart_test

    0下载:
  2. 串口通信,基于Quartus的用Verilog实现串口通信(Serial communication and serial communication with Verilog based on Quartus)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:11283456
    • 提供者:木头心儿
  1. VB编写的仿真实电子琴操作界面

    0下载:
  2. VB编写的仿真实电子琴操作界面,包含与FPGA串口通信的功能(The virtual electronic instrument interface written by VB contains the functions of serial communication with FPGA)
  3. 所属分类:其他

    • 发布日期:2018-04-22
    • 文件大小:4096
    • 提供者:彦子盗
  1. 国产FPGA参考设计IPCORE_UART_example_M5&M7

    0下载:
  2. 国产FPGA的UART参考设计IPCORE源代码。 The IP provides two kinds of simplified interface connected to EMIF bus and AHB bus for communication with 8051 core and ARM core.The two kinds of interface are full-duplex serial communication interface. Support programmabl
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-04-09
    • 文件大小:3162112
    • 提供者:空空居士
« 12 3 4 »
搜珍网 www.dssz.com